HOME | KONTAKT

Logo Universität Bremen
LOGO AGRA | AG Rechnerarchitektur



Arbeitsgruppe Rechnerarchitektur / AGRA | Informatik | FB03 | Universität Bremen

Dr. Hoang M. Le


Ich befasse mich mit der formalen Verifikation von Systemen auf hohen Abstraktionsebenen. Der Schwerpunkt ist momentan die Entwicklung eines vollautomatisierten formalen Verifikationsflows für SystemC-TLM-Modelle, der Eigenschaftsprüfung, Fehlersuche, und Coverage-Analyse umfasst.

WiMi

Extensible and Configurable RISC-V Based Virtual Prototype
Autor: Vladimir Herdt, Daniel Große, Hoang M. Le, Rolf Drechsler
Buchtitel: Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2018 | Herausgeber: Tom J. Kazmierski, Sebastian Steinhorst, Daniel Große
Verlag: Springer
Format: Hardcover (2020)

Towards Early Validation of Firmware-Based Power Management Using Virtual Prototypes: A Constrained Random Approach
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Buchtitel: Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2017 | Herausgeber: Daniel Große, Sara Vinco, Hiren Patel
Verlag: Springer
Format: Hardcover (2019)

On the Application of Formal Fault Localization to Automated RTL-to-TLM Fault Correspondence Analysis for Fast and Accurate VP-Based Error Effect Simulation: A Case Study
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Buchtitel: Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2016 | Herausgeber: Franco Fummi, Robert Wille
Verlag: Springer
Format: Hardcover (2018)

Funktionale Verifikation eingebetteter Systeme: Techniken und Werkzeuge auf Systemebene
Autor: Hoang M. Le
Buchtitel: Ausgezeichnete Informatikdissertationen 2015 | Herausgeber: S. Hölldobler et al.
Verlag: GI
Format: Paperback (2016)

Formal Verification of SystemC-based Cyber Components
Autor: Daniel Große, Hoang M. Le, Rolf Drechsler
Buchtitel: Industrial Internet of Things: Cybermanufacturing Systems | Herausgeber: Sabina Jeschke, Christian Brecher, Houbing Song, Danda B. Rawat
Verlag: Springer
Format: Hardcover (2016)

Combining Sequentialization-based Verification of Multi-Threaded C Programs with Symbolic Partial Order Reduction
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Zeitschrift: International Journal of Software Tools for Technology Transfer (STTT)
Details: DOI: 10.1007/s10009-019-00507-5, 21(5):545-565 (2019)

Verifying SystemC using Intermediate Verification Language and Stateful Symbolic Simulation
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems (TCAD)
Details: DOI: 10.1109/TCAD.2018.2846638, 38(7):1359-1372 (2018)

Automatic TLM Fault Localization for SystemC
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2012.2188800 , Volume 31, Number 8, pp. 1249-1262 (2012)

LLVM-based Hybrid Fuzzing with LibKluzzer (Competition Contribution)
Autor: Hoang M. Le
Konferenz: International Conference on Fundamental Approaches to Software Engineering (FASE)
Referenz: Dublin, Ireland, 2020

KLUZZER: Whitebox Fuzzing on top of LLVM
Autor: Hoang M. Le
Konferenz: Automated Technology for Verification and Analysis (ATVA)
Referenz: Taipei, Taiwan, 2019

Property-driven Timestamps Encoding for Timeprints-based Tracing and Monitoring
Autor: Rehab Massoud, Hoang M. Le, Rolf Drechsler
Konferenz: 17th International Conference on Formal Modeling and Analysis of Timed Systems, (FORMATS)
Pdf | Referenz: Amsterdam, Netherlands, 27-29 August, 2019

Temporal Tracing of On-Chip Signals using Timeprints
Autor: Rehab Massoud, Hoang M. Le, Peter Chini, Prakash Saivasan, Roland Meyer and Rolf Drechsler
Konferenz: Design Automation Conference (DAC)
Pdf | Referenz: Las Vegas, USA, 2019

Early Concolic Testing of Embedded Binaries with Virtual Prototypes: A RISC-V Case Study
Autor: Vladimir Herdt, Daniel Große, Hoang M. Le, Rolf Drechsler
Konferenz: Design Automation Conference (DAC)
Pdf | Referenz: Las Vegas, USA, 2019

Verifying Instruction Set Simulators using Coverage-guided Fuzzing
Autor: Vladimir Herdt, Daniel Große, Hoang M. Le, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: Florence, Italy, 2019

Data Flow Testing for SystemC-AMS Timed Data Flow Models
Autor: Muhammad Hassan, Daniel Große, Hoang M. Le, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: Florence, Italy, 2019

Detection of Hardware Trojans in SystemC HLS Designs via Coverage-guided Fuzzing
Autor: Hoang M. Le, Daniel Große, Niklas Bruns, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: Florence, Italy, 2019

Maximizing Power State Cross Coverage in Firmware-based Power Management
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: 24th Asia and South Pacific Design Automation Conference (ASP-DAC)
Pdf | Referenz: Tokyo, Japan, 2019

Extensible and Configurable RISC-V based Virtual Prototype
Autor: Vladimir Herdt, Daniel Große, Hoang M. Le, Rolf Drechsler
Konferenz: Forum on specification & Design Languages (FDL)
Pdf | Referenz: Munich, Germany, 2018

Resiliency Evaluation via Symbolic Fault Injection on Intermediate Code
Autor: Hoang M. Le, Vladimir Herdt, Daniel Große, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: pp. 845-850, Dresden, Germany, 2018

Towards Fully Automated TLM-to-RTL Property Refinement
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: pp. 1508-1511, Dresden, Germany, 2018

Testbench Qualification for SystemC-AMS Timed Data Flow Models
Autor: Muhammad Hassan, Daniel Große, Hoang M. Le, Thilo Vörtler, Karsten Einwich, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: pp. 857-860, Dresden, Germany, 2018

Towards Early Validation of Firmware-Based Power Management using Virtual Prototypes: A Constrained Random Approach
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: Forum on specification & Design Languages (FDL)
Pdf | Referenz: pp. 1-8, Verona, Italy, 2017
Best Paper Candidate

Early SoC Security Validation by VP-based Static Information Flow Analysis
Autor: Muhammad Hassan, Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: International Conference on Computer Aided Design (ICCAD)
Pdf | Referenz: pp. 400-407, Irvine, USA, 2017

Data Flow Testing for Virtual Prototypes
Autor: Muhammad Hassan, Vladimir Herdt, Hoang M. Le, Mingsong Chen, Daniel Große, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: Lausanne, Switzerland, 2017

Guided Lightweight Software Test Qualification for IP Integration using Virtual Prototypes
Autor: Daniel Große, Hoang M. Le, Muhammad Hassan, Rolf Drechsler
Konferenz: IEEE International Conference on Computer Design (ICCD)
Pdf | Referenz: Phoenix, USA, 2016

On the Application of Formal Fault Localization to Automated RTL-to-TLM Fault Correspondence Analysis for Fast and Accurate VP-based Error Effect Simulation - A Case Study
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: Forum on specification & Design Languages (FDL)
Pdf | Referenz: Bremen, Germany, 2016
Best Paper Candidate

Compiled Symbolic Simulation for SystemC
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: International Conference on Computer Aided Design (ICCAD)
Pdf | Referenz: Austin, USA, 2016

ParCoSS: Efficient Parallelized Compiled Symbolic Simulation
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: International Conference on Computer Aided Verification (CAV)
Pdf | Referenz: Toronto, Canada, 2016

Towards Formal Verification of Real-World SystemC TLM Peripheral Models - A Case Study
Autor: Hoang M. Le, Vladimir Herdt, Daniel Große, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE)
Pdf | Referenz: pp. 1160-1163, Dresden, Germany, 2016

Boosting SystemC-based Testbenches with Modern C++ and Coverage-Driven Generation
Autor: Hoang M. Le, Rolf Drechsler
Konferenz: Design and Verification Conference and Exhibition Europe (DVCon Europe)
Pdf | Referenz: Munich, Germany, 2015

Verifying SystemC using Stateful Symbolic Simulation
Autor: Vladimir Herdt, Hoang M. Le, Rolf Drechsler
Konferenz: Design Automation Conference (DAC)
Pdf | Referenz: San Francisco, 2015

CRAVE 2.0: The Next Generation Constrained Random Stimuli Generator for SystemC
Autor: Hoang M. Le, Rolf Drechsler
Konferenz: Design and Verification Conference and Exhibition Europe (DVCon Europe)
Pdf | Referenz: Munich, Germany, 2014

Self-Verification as the Key Technology for Next Generation Electronic Systems
Autor: Rolf Drechsler, Hoang M. Le, Mathias Soeken
Konferenz: Symposium on Integrated Circuits and System Design (SBCCI)
Pdf | Referenz: Aracaju, Brazil, 2014

Safety Evaluation of Automotive Electronics Using Virtual Prototypes: State-of-the-Art and Research Challenges
Autor: Jan-Hendrik Oetjens, Nico Bannow, Markus Becker, Oliver Bringmann, Andreas Burger, Moomen Chaari, Samarjit Chakraborty, Rolf Drechsler, Wolfgang Ecker, Kim Gruettner, Thomas Kruse, Christoph Kuznik, Hoang M. Le, Andreas Mauderer, Wolfgang Mueller, Daniel Mueller-Gritschneder, Frank Poppen, Hendrik Post, Sebastian Reiter, Wolfgang Rosenstiel, Simon Roth, Ulf Schlichtmann, Andreas von Schwerin, Bogdan-Andrei Tabacaru, Alexander Viehl
Konferenz: Design Automation Conference (DAC)
Referenz: pp. 113:1-6, San Francisco, 2014

Towards Verifying Determinism of SystemC Designs
Autor: Hoang M. Le, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE'14)
Pdf | Referenz: pp. 153:1-4, Dresden, Germany, 2014

Verifying SystemC using an Intermediate Verification Language and Symbolic Simulation
Autor: Hoang M. Le, Daniel Große, Vladimir Herdt, Rolf Drechsler
Konferenz: Design Automation Conference (DAC)
Pdf | Referenz: pp. 116:1-6 Austin, Texas, 2013

Synchronized Debugging across Different Abstraction Levels in System Design
Autor: Rolf Drechsler, Daniel Große, Hoang M. Le, André Sülflow
Konferenz: embedded world Conference 2013
Pdf | Referenz: Nürnberg, 2013

Scalable Fault Localization for SystemC TLM Designs
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: Design, Automation and Test in Europe (DATE'13)
Pdf | Referenz: pp. 35-38, Grenoble, France, 2013

From Requirements and Scenarios to ESL Design in SystemC
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: International Symposium on Electronic System Design (ISED)
Pdf | Referenz: pp. 183-187, Kolkata, WB, India, 2012

The System Verification Methodology for Advanced TLM Verification
Autor: Marcio F. S. Oliveira, Christoph Kuznik, Wolfgang Mueller, Finn Haedicke, Hoang M. Le, Daniel Große, Rolf Drechsler, Wolfgang Ecker, Volkan Esen
Konferenz: International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS)
Pdf | Referenz: pp. 313-322, Tampere, 2012

Completeness-Driven Development
Autor: Rolf Drechsler, Melanie Diepenbeck, Daniel Große, Ulrich Kühne, Hoang M. Le, Julia Seiter, Mathias Soeken, Robert Wille
Konferenz: International Conference on Graph Transformation
Pdf | Referenz: pp. 38-50, Bremen, 2012

CRAVE: An Advanced Constrained RAndom Verification Environment for SystemC
Autor: Finn Haedicke, Hoang M. Le, Daniel Große, Rolf Drechsler
Konferenz: International Symposium on System-on-Chip (SoC)
Pdf | Referenz: pp. 1-7, Tampere, 2012

Proving Transaction and System-level Properties of Untimed SystemC TLM Designs
Autor: Daniel Große, Hoang M. Le, Rolf Drechsler
Konferenz: International Conference on Formal Methods and Models for Codesign (MEMOCODE)
Pdf | Referenz: pp. 113-122, Grenoble, 2010

Structural Heuristics for SAT-based ATPG
Autor: Daniel Tille, Stephan Eggersglüß, Hoang M. Le, Rolf Drechsler
Konferenz: 17th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2009)
Pdf | Referenz: pp. 77-82, Florianópolis, 2009

Quantified Synthesis of Reversible Logic
Autor: Robert Wille, Hoang M. Le, Gerhard W. Dueck, Daniel Große
Konferenz: Design, Automation, and Test in Europe (DATE)
Pdf | Referenz: pp. 1015-1020, Munich, 2008

Evaluation of Power State Cross Coverage in Firmware-Based Power Management
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: Embedded Software for Industrial IoTs (ESIIT)
Referenz: Dresden, Germany, 2018

Towards Automated Refinement of TLM Properties to RTL
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV)
Referenz: Tübingen, Germany, 2018

Revisiting Symbolic Software-implemented Fault Injection
Autor: Hoang M. Le, Vladimir Herdt, Daniel Große, Rolf Drechsler
Workshop: 2nd International Workshop on Resiliency in Embedded Electronic Systems (REES)
Referenz: Lausanne, Switzerland, 2017

Towards Generating Test Suites with High Functional Coverage for Error Effect Simulation
Autor: Aljoscha Windhorst, Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: 1st International ESWEEK Workshop on Resiliency in Embedded Electronic Systems
Referenz: Amsterdam, The Netherlands, 2015

Fehlereffektsimulation mittels virtueller Prototypen
Autor: Sebastian Reiter, Markus Becker, Oliver Bringmann, Andreas Burger, Moomen Chaari, Rolf Drechsler, Wolfgang Ecker, Thomas Kruse, Christoph Kuznik, Jo Laufenberg, Hoang M. Le, Petra Maier, Daniel Müller-Gritschneder, Hendrik Post, Jan-Hendrik Oetjens, Wolfgang Rosenstiel, Andreas von Schwerin, Bogdan-Andrei Tabacaru, Alexander Viehl
Workshop: GI/GMM/ITG-Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (TuZ)
Referenz: Bad Urach, 2015

Funktionale Abdeckungsanalyse von C-Programmen
Autor: Aljoscha Windhorst, Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: 17. ITG/GMM/GI-Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV'14)
Referenz: pp. 201-204, Böblingen, Germany, 2014

Law-based Verification for Complex Swarm Systems
Autor: Rolf Drechsler, Hoang M. Le, Mathias Soeken, Robert Wille
Workshop: International Workshop on the Swarm at the Edge of the Cloud
Referenz: Montreal, Canada, 2013

SystemC Verifikation mittels symbolischer Simulation einer Zwischensprache
Autor: Hoang M. Le, Daniel Große, Vladimir Herdt, Rolf Drechsler
Workshop: edaWorkshop
Pdf | Referenz: pp. 53-58, Dresden, Germany, 2013

Compilation of Methodologies to Speed up the Verification Process at System Level
Autor: Stephan Radke, Steffen Rülke, Marcio F. S. Oliveira, Christoph Kuznik, Wolfgang Müller, Wolfgang Ecker, Volkan Esen, Simon Hufnagel, Nico Bannow, Helmut Brazdrum, Peter Janssen, Hoang M. Le, Daniel Große, Rolf Drechsler, Erhard Fehlauer, Gernot Koch, Andreas Burger, Oliver Bringmann, Wolfgang Rosenstiel, Finn Haedicke, Ralph Görgen, Jan-Hendrik Oetjens
Workshop: edaWorkshop
Referenz: pp. 57-62, Hannover, 2012

SystemC-based ESL Verification Flow Integrating Property Checking and Automatic Debugging
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: DATE Friday Workshop: OSCI and Accellera Core Technologies for the Next Generation of System-Level Design
Referenz: Dresden, 2012

CRAVE: An Advanced Constrained Random Verification Environment for SystemC
Autor: Finn Haedicke, Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: 15. Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV)
Referenz: pp. 37-48, Kaiserslautern
Software and benchmarks available at www.systemc-verification.org, 2012

Towards Proving TLM Properties with Local Variables
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: 7th International Workshop on Constraints in Formal Verification (CFV)
Pdf | Referenz: San Jose, 2011

Automatic Fault Localization for SystemC TLM Designs
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: 11th International Workshop on Microprocessor Test and Verification (MTV)
Pdf | Referenz: pp. 35-40, Austin, Texas, 2010

Towards Analyzing Functional Coverage in SystemC TLM Property Checking
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Workshop: IEEE International Workshop on High-Level Design Validation and Test (HLDVT)
Pdf | Referenz: pp. 67-74, Anaheim, 2010

Induction-based Formal Verification of SystemC TLM Designs
Autor: Daniel Große, Hoang M. Le, Rolf Drechsler
Workshop: 10th International Workshop on Microprocessor Test and Verification (MTV)
Referenz: pp. 101-106, Austin, Texas, 2009

« zurück


©2023 | AG Rechnerarchitektur | Kontakt | Impressum & Datenschutz